研究者総覧

鬼頭 信貴
工学部
准教授
Last Updated :2024/01/31

研究者基本情報

基本情報

氏名

  • 氏名

    鬼頭 信貴
  • 氏名(カナ)

    (キトウ ノブタカ)

基本情報

所属

所属(マスタ)

  • 工学部, 准教授
  • 情報工学科, 准教授
  • 工学研究科, 准教授

学歴等

学位

  • 修士, 情報科学, 2006年03月, 名古屋大学大学院情報科学研究科
  • 博士, 情報科学, 2009年03月, 名古屋大学大学院情報科学研究科

その他基本情報

委員歴

  • 電子情報通信学会, VLSI設計技術研究専門委員会専門委員
  • 2017年06月, 2020年06月, 電子情報通信学会, Electronics Express編集委員会 委員

研究活動情報

著書・発表論文等

論文

  • 論文
    Technology Mapping With Clockless Gates for Logic Stage Reduction of RSFQ Logic Circuits
    Nobutaka Kito, Takahiro Kawaguchi, Kazuyoshi Takagi, and Naofumi Takagi
    IEEE Transactions on Applied Superconductivity, 33, 5, 1302105, 1302105, 2023年, 査読有, 共著(共編著)
  • 論文
    Accuracy Improvement of Polynomial Computing RSFQ Circuits Based on Stochastic Computing by Partial Duplication
    辻萌佳, 鬼頭信貴
    Journal of Physics: Conference Series, 012023, 012023, 2023年, 査読有, 共著(共編著)
  • 論文
    Compact Stochastic Computing Circuits Using the Latching Function of RSFQ Circuits for Computing Polynomials
    Koki Wada, Nobutaka Kito
    Journal of Physics: Conference Series, 012032, 012032, 2022年, 査読有, 共著(共編著)
  • 論文
    Logic-Depth-Aware Technology Mapping Method for RSFQ Logic Circuits With Special RSFQ Gates
    Nobutaka Kito, Kazuyoshi Takagi, Naofumi Takagi
    IEEE Transactions on Applied Superconductivity, 1300105, 1300105, 2022年, 査読有, 共著(共編著)
  • 論文
    A Timing Fault Model and an Efficient Timing Fault Simulation Method for Rapid Single-Flux-Quantum Logic Circuits
    Shogo Nakamura, Kazuyoshi Takagi, Nobutaka Kito, Naofumi Takagi
    Journal of Physics: Conference Series, 1, 8, 2021年, 査読有, 共著(共編著)
  • 論文
    An RSFQ flexible-precision multiplier utilizing bit-level processing
    Nobutaka Kito, Kazuyoshi Takagi
    Journal of Physics: Conference Series, 1, 8, 2021年, 査読有, 共著(共編著)
  • 論文
    Conversion Method of Netlists Consisting of Conventional Logic Gates to RSFQ Logic Circuits Utilizing Special RSFQ Gates
    Nobutaka Kito, Kazuyoshi Takagi, and Naofumi Takagi
    IEEE Transactions on Applied Superconductivity, IEEE, 30, 7, 1302306, 1302306, 2020年, 査読有, 共著(共編著)
  • 論文
    Logic Simulation Tool for RSFQ Circuits Accepting Arrivals of Multiple Pulses in a Clock Period
    Nobutaka Kito, Shohei Udatsu, and Kazuyoshi Takagi
    Journal of Physics: Conference Series, 012041, 012041, 2020年, 査読有, 共著(共編著)
  • 論文
    Conversion of Logic Gates in Netlists for Rapid Single Flux Quantum Circuits Utilizing Confluence of Pulses
    Nobutaka Kito, Kazuyoshi Takagi, and Naofumi Takagi
    IPSJ Transactions on System LSI Design Methodology, 情報処理学会, 12, 78, 80, 2019年, 査読有, 共著(共編著)
  • 論文
    Concurrent Error Detectable Carry Select Adder with Easy Testability
    Nobutaka Kito and Naofumi Takagi
    IEEE Transactions on Computers, IEEE, 68, 7, 1105, 1110, 2019年, 査読有, 共著(共編著), DOI: 10.1109/TC.2019.2895074
  • 論文
    Rapid Single-Flux-Quantum Truncated Multiplier Based on Bit-Level Processing
    Nobutaka Kito, Ryota Odaka, Kazuyoshi Takagi
    IEICE Transactions on Electronics, E102-C, 7, 607, 611, 2019年, 査読有, 共著(共編著)
  • 論文
    A Fast Wire-Routing Method and an Automatic Layout Tool for RSFQ Digital Circuits Considering Wire-Length Matching
    Nobutaka Kito, Kazuyoshi Takagi, and Naofumi Takagi
    IEEE Transactions on Applied Superconductivity, IEEE, 1300105, 1300105, 2018年, 査読有, 共著(共編著)
  • 論文
    Nobutaka Kito, Kazushi Akimoto, and Naofumi Takagi 「Floating-Point Multiplier with Concurrent Error Detection Capability by Partial Duplication」
    IEICE Transactions on Information and Systems, 2017年
  • 論文
    Nobutaka Kito, Kazuyoshi Takagi, and Naofumi Takagi 「Automatic Wire-Routing of SFQ Digital Circuits Considering Wire-Length Matching」
    IEEE Transactions on Applied Superconductivity, 2016年
  • 論文
    N. Kito, K. Takagi, and N. Takagi 「Conversion of a CMOS logic circuit design to an RSFQ design considering latching function of RSFQ logic gates」
    IEEE Transactions on Applied Superconductivity, 2015年
  • 論文
    Kazuyoshi Takagi, Nobutaka Kito, and Naofumi Takagi.「Circuit Description and Design Flow of Superconducting SFQ Logic Circuits」
    IEICE Transactions on Electronics, 2014年, Invited Paper, Special Section on Leading-Edge Technology of Superconductor Large-Scale Integrated Circuits
  • 論文
    Nobutaka Kito, and Naofumi Takagi, 「Low-overhead Fault-secure Parallel Prefix Adder by Carry-bit Duplication」
    IEICE Transactions on Information and Systems, 2013年
  • 論文
    Nobutaka Kito, Shinichi Fujii, and Naofumi Takagi, 「A C-testable Multiple-block Carry Select Adder」
    IEICE Transactions on Information and Systems, 2012年
  • 論文
    Nobutaka Kito, Kensuke Hanai, and Naofumi Takagi,「A C-testable 4-2 Adder Tree for an Easily Testable High-speed Multiplier」
    IEICE Transactions on Information and Systems, 2010年
  • 論文
    けた上げ保存加算器で構成された部分積加算部をもつ乗算器のテスト
    鬼頭信貴、高木直史
    電子情報通信学会論文誌D, 電子情報通信学会, J92-D, 7, 994, 1002, 2009年, 査読有, 共著(共編著)
  • 論文
    種々の部分積加算構造を持つテスト容易な乗算器の設計手法
    鬼頭信貴、高木直史
    電子情報通信学会論文誌D, 電子情報通信学会, J91-D, 10, 2478, 2486, 2008年, 査読有, 共著(共編著)

講演・口頭発表等

  • Automatic Cell Placement for Josephson Transmission Lines in Cell-Based Layout Design Environment for RSFQ Circuits
    Nobutaka Kito
    36th International Symposium on Superconductivity (ISS2023), 単独, 国際会議
  • セルベースRSFQ論理回路設計における配線経路のJTL配線セルによる実現の自動化
    鬼頭信貴
    電子情報通信ソサイエティ大会, 単独, 国内会議
  • Technology mapping with clockless gates for logic stage reduction of RSFQ logic circuits
    Nobutaka Kito, Takahiro Kawaguchi, Kazuyoshi Takagi, Naofumi Takagi
    Applied Superconductivity Conference 2022 (ASC 2022), 2022年, 共同, 査読有, 国際会議
  • Accuracy Improvement of Polynomial Computing RSFQ Circuits Based on Stochastic Computing by Partial Duplication
    Moeka Tsuji, Nobutaka Kito
    35th International Symposium on Superconductivity (ISS2022), 2022年, 共同, 査読有, 国際会議
  • Logic-depth-aware technology mapping method for RSFQ logic circuits with special RSFQ gates
    Nobutaka Kito, Kazuyoshi Takagi, and Naofumi Takagi
    15th European Conference on Applied Superconductivity (EUCAS2021), 2021年, 共同, 国際会議
  • Timing Fault Simulation of Single-Flux-Quantum Logic Circuits for Fault Diagnosis
    Hiroki Watanabe, Kazuyoshi Takagi, Nobutaka Kito
    34th International Symposium on Superconductivity (ISS2021), 2021年, 共同, 国際会議
  • Compact Stochastic Computing Circuits Using the Latching Function of RSFQ Circuits for Computing Polynomials
    Koki Wada, Nobutaka Kito
    34th International Symposium on Superconductivity (ISS2021), 2021年, 共同, 国際会議
  • Hardware/Software Co-Design of a Monte-Carlo Tree Search based Reversi Player
    Nobutaka Kito, Moeka Tsuji, and Kyouka Tomioka
    23rd Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI2021), 2021年, 共同, 査読有, 国際会議
  • An RSFQ Flexible-Precision Multiplier Utilizing Bit-Level Processing
    Nobutaka Kito and Kazuyoshi Takagi
    33rd International Symposium on Superconductivity (ISS2020), 2020年, 共同, 査読有, 国際会議
  • Efficient Timing Fault Simulation of Rapid Single-Flux-Quantum Logic Circuits Considering the Pipelined Behavior
    Shogo Nakamura, Kazuyoshi Takagi, Nobutaka Kito, and Naofumi Takagi
    33rd International Symposium on Superconductivity (ISS2020), 2020年, 共同, 査読有, 国際会議
  • Logic Simulation Tool for RSFQ Circuits Accepting Arrivals of Multiple Pulses in a Clock Period
    Nobutaka Kito, Shohei Udatsu, and Kazuyoshi Takagi
    32nd International Symposium on Superconductivity (ISS2019), 2019年, 共同, 査読有, 国際会議, 京都, Kyoto, Japan
  • Rapid Single-Flux-Quantum Matrix Multiplication Circuit Utilizing Bit-Level Processing
    Nobutaka Kito, Takuya Kumagai, and Kazuyoshi Takagi
    22nd Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI 2019), 2019年, 共同, 査読有, 国際会議, 台南, 台湾, Tainan, Taiwan
  • Test Pattern Generation for Timing Faults in Rapid Single-Flux-Quantum Circuits
    Kazuyoshi Takagi, Mikihiro Ono, Nobutaka Kito, and Naofumi Takagi
    22nd Workshop on Synthesis And System Integration of Mixed Information Technologies (SASIMI 2019), 2019年, 共同, 査読有, 国際会議, 台南, 台湾, Tainan, Taiwan
  • Conversion Method of Netlists Consisting of Conventional Logic Gates to RSFQ Logic Circuits Using the Characteristics of Pulse Logic
    Nobutaka Kito, Kazuyoshi Takagi, and Naofumi Takagi
    17th International Superconductive Electronics Conference (ISEC 2019), 2019年, 共同, 査読有, 国際会議, Riverside California, USA, Riverside California, USA
  • Designs of Component Circuits for Stochastic Computing Using Rapid Single Flux Quantum Circuits
    Nobutaka Kito, Yurie Koketsu, and Kazuyoshi Takagi
    Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI2018), 2018年, 共同, 査読有, 無し, 国際会議, Matsue, Japan, Matsue, Japan
  • A Fast Wire-Routing Method and an Automatic Layout Tool for RSFQ Digital Circuits Considering Wire-Length Matching
    N. Kito, K. Takagi, and N. Takagi
    European Conference on Applied Superconductivity (EUCAS2017), 2017年, 共同, 査読有, 国際会議, スイス, Geneva
  • N. Takagi, K. Takagi, and N. Kito, 「Development of CAD Tools for SFQ Logic Circuits and Design of Data-path Circuits for SFQ Bit-slice Processors」
    2017年, Superconducting SFQ VLSI Workshop (SSV 2017), 日本, 名古屋
  • Nobutaka Kito, Kazuyoshi Takagi, and Naofumi Takagi,「Fast Length-Matching Routing for Rapid Single Flux Quantum Circuits」
    2016年, SASIMI2016, 日本, 京都
  • N. Kito, G. Matsumoto, K. Takagi, and N. Takagi,「Extension of a Logic Simulation System for Simulation-Based Verification of RSFQ Logic Circuits」
    2016年, Superconducting SFQ VLSI Workshop (SSV 2016), 日本, 横浜
  • Nobutaka Kito, Kazuyoshi Takagi, and Naofumi Takagi,「Automatic Wire-Routing of SFQ Digital Circuits Considering Wire-Length Matching」
    2015年, European Conference on Applied Superconductivity (EUCAS2015), France, Lyon
  • N. Kito, K.Takagi, and N.Takagi,「Conversion of a CMOS logic circuit design to an RSFQ design considering latching function of RSFQ logic gates」
    2014年, Applied Superconductivity Conference (ASC2014), USA, Charlotte
  • Nobutaka Kito, Kazuyoshi Takagi, and Naofumi Takagi,「Automatic Detailed Layout Method for SFQ Circuit Blocks」
    2014年, Superconducting SFQ VLSI Workshop for Young Scientists (SSV 2014-YS), 日本, 名古屋
  • Nobutaka Kito, Kazuyoshi Takagi, and Naofumi Takagi, 「Retiming of SFQ Logic Circuits for Reduction of Flip-flops」
    2013年, Superconducting SFQ VLSI Workshop (SSV2013), 日本, つくば
  • Nobutaka Kito, Kazuyoshi Takagi, and Naofumi Takagi. 「Retiming of Single Flux Quantum Logic Circuits for Flip-Flop Reduction」
    2013年, SASIMI2013, 日本, 札幌
  • Nobutaka Kito, Kazuyoshi Takagi, and Naofumi Takagi,「Timing-Aware Description Methods and Gate-Level Simulation of Single Flux Quantum Logic Circuits」
    2012年, SASIMI2012, 日本, 別府
  • Nobutaka Kito, Kazuyoshi Takagi, and Naofumi Takagi,「Timing-Aware Description Methods and Gate-Level Simulation of SFQ Logic Circuits」
    2011年, Superconducting SFQ VLSI Workshop (SSV2011), 日本, 京都
  • Nobutaka KITO,and Naofumi TAKAGI,「Level-Testability of Multi-Operand Adders」
    2008年, Asian Test Symposium, 日本, 札幌
  • 部分多重化によるStochastic Computingに基づく 多項式演算 RSFQ 回路の精度向上
    辻 萌佳, 鬼頭信貴
    電子情報通信学会ソサイエティ大会, 2022年, 共同, 国内会議
  • RSFQ回路のラッチ機能を活用したコンパクトな多項式計算Stochastic Computing回路の設計手法
    和田航輝, 鬼頭信貴
    2021年電子情報通信ソサイエティ大会, 2021年, 共同, 国内会議
  • RSFQ Stochastic Computing 回路のための再収斂経路を考慮した演算スケジューリング手法
    鬼頭信貴
    電子情報通信学会 2021年総合大会, 2021年, 単独, 国内会議
  • ビットレベル処理を用いたRSFQ可変精度行列乗算器の検討
    鬼頭 信貴, 高木 一義
    電子情報通信学会 2020年総合大会, 2020年, 共同, 国内会議
  • LSTM言語モデルを用いた近代風短歌の自動生成の検討
    飯田瑞斗, 鬼頭信貴
    第17回情報学ワークショップ(WiNF2019), 2019年, 共同, 国内会議, 名古屋市
  • クロック周期内での複数パルス出現を考慮したRSFQ回路シミュレーション
    宇田津祥平, 鬼頭信貴
    2019年電子情報通信ソサイエティ大会, 2019年, 共同, 査読無, 国内会議, 豊中市
  • パルス論理の性質を活用した論理ゲートネットリストのRSFQディジタル回路向け変換
    鬼頭 信貴, 高木 一義, 高木 直史
    電子情報通信学会 2018年電子情報通信ソサイエティ大会, 2018年, 共同, 国内会議, 金沢
  • Stochastic Computing に基づく単一磁束量子乗算器の検討
    小高 良太, 鬼頭 信貴
    電気・電子・情報関係学会東海支部連合大会, 2018年, 共同, 国内会議, 名古屋
  • 単一磁束量子回路を用いたStochastic Computing 実現のためのStochastic Number Generatorの設計
    纐纈百合絵, 鬼頭信貴
    電気・電子・情報関係学会東海支部連合大会, 2017年, 共同, 査読無, 無し, 国内会議, 名古屋市
  • Approximate Full Adder を用いて構成した加算器による音声処理での音質劣化の評価
    永井寛大, 鬼頭信貴
    電気・電子・情報関係学会東海支部連合大会, 2017年, 共同, 査読無, 国内会議, 口頭発表(一般), 名古屋市
  • 鬼頭信貴, 高木一義, 高木直史「配線長マッチングを考慮した自動配置によるRSFQ回路のレイアウト面積削減」, C8-1, 2017年3月23日.
    2017年, 電子情報通信学会 2017年総合大会, 名古屋市
  • 松本弦篤, 鬼頭信貴,「単一磁束量子論理回路の設計検証のための論理シミュレーションツールの拡張」, E1-8, 2016年9月12日.
    2016年, 電気・電子・情報関係学会東海支部連合大会, 豊田市
  • 鬼頭信貴, 高木一義, 高木直史,「SFQディジタル回路のための配線長マッチングを考慮した高速配線法」, C-8-2, 2016年9月20日.
    2016年, 電子情報通信学会 2016年電子情報通信ソサイエティ大会, 札幌市
  • 鬼頭信貴, 中島 悠, 高木一義, 高木直史,「PTL配線長マッチングを考慮したSFQ回路レイアウトにおける配線面積の評価」, C-8-5, 2016年3月15日.
    2016年, 電子情報通信学会 2016年総合大会, 福岡市
  • 鬼頭信貴,「テスト容易でオンライン誤り検出可能な桁上げ選択加算器」, 信学技報, vol. 115, no. 339, DC2015-68, pp. 225-230, 2015年12月.
    2015年, 電子情報通信学会 ディペンダブルコンピューティング研究会, 長崎市
  • 谷口颯城, 鬼頭信貴, 「単一磁束量子論理回路のためのDFF削減手法の評価」, B4-7, 2015年9月29日.
    2015年, 電気・電子・情報関係学会東海支部連合大会, 名古屋市
  • 鬼頭信貴, 吉田孝洋,「オンライン誤り検出可能な3オペランド複素数乗算回路」, D-10-1, 2015年3月12日.
    2015年, 電子情報通信学会 2015年総合大会, 草津市
  • 鬼頭信貴, 秋元一志, 高木直史, 「部分二重化を用いたオンライン誤り検出可能な浮動小数点乗算器の設計と評価」信学技報, vol. 114, no. 507, pp. 125-130, 2015年3月.
    2015年, 電子情報通信学会 ディペンダブルコンピューティング研究会, 奄美市
  • 森崎有祐,鬼頭信貴「単一磁束量子論理回路におけるパルス遅延故障を対象としたテストの検討」, L5-3, 2014年9月9日.
    2014年, 電気・電子・情報関係学会東海支部連合大会, 名古屋市
  • 鬼頭信貴, 秋元一志, 高木直史, 「部分二重化を用いた微小誤りを許容するオンライン誤り検出可能な浮動小数点乗算器」信学技報, vol. 114, no. 99, pp. 33-38, 2014年6月.
    2014年, 電子情報通信学会 ディペンダブルコンピューティング研究会, 東京都
  • 山口大樹,鬼頭信貴,高木直史,「剰余検査によるオンライン誤り検出可能な浮動小数点乗算器」
    2013年, DAシンポジウム2013, 岐阜県下呂市
  • 三苫晃弘,鬼頭信貴,高木直史,「桁上げビットの二重化によるセルフチェッキング桁上げ先見加算器」信学技報, vol. 112, no. 482, pp. 277-282, 2013年3月.
    2013年, 電子情報通信学会 ディペンダブルコンピューティング研究会, 対馬市
  • 秋元一志, 鬼頭信貴, 高木直史, 「部分二重化を用いたオンライン誤り検出可能な乗算器」信学技報, vol. 112, no. 482, pp. 283-287, 2013年3月.
    2013年, 電子情報通信学会 ディペンダブルコンピューティング研究会, 対馬市
  • 鬼頭信貴,高木直史,「桁上げ生成二重化によるフォールトセキュアな並列プレフィックス加算器の構成法」 DC2012-72, pp. 273-278.
    2012年, 電子情報通信学会 ディペンダブルコンピューティング研究会, 福岡市
  • 大桃由紀雄, 成瀬遥平, 鬼頭信貴, 高木直史, 高木一義, 「SFQ回路を用いたビットスライス浮動小数点加算器」信学技報 SCE2012-11, pp. 13-17.
    2012年, 電子情報通信学会 超伝導エレクトロニクス研究会, 東京都
  • 成瀬遥平, 鬼頭信貴, 高木直史,「SFQ回路を用いた2ビット・ビットスライス半精度浮動小数点乗算器の設計」信学技報 SCE2012-12, pp. 19-23
    2012年, 電子情報通信学会 超伝導エレクトロニクス研究会, 東京都
  • 成瀬遥平, 鬼頭信貴, 高木一義, 高木直史,「SFQ回路におけるJTLとPTL混合配線のための回路分割手法」, C-8-14
    2012年, 電子情報通信学会 2012年総合大会, 岡山県
  • 成瀬遥平, 鬼頭信貴, 高木直史,「SFQ回路を用いた高スループットなビットスライス乗算器」, 信学技報 SCE2011-9, pp. 47-52.
    2011年, 電子情報通信学会 超伝導エレクトロニクス研究会, 東京都
  • 鬼頭信貴, 高木一義, 高木直史,「SFQ論理回路のタイミング明示化表現法と論理シミュレーション」, C-8-16
    2011年, 電子情報通信学会 2011年総合大会, 東京都
  • 鬼頭信貴, 高木一義, 高木直史,「単一磁束量子論理回路のためのタイミング故障のモデル化とテスト手法の検討」, 信学技報 DC2010-73, pp. 51-56.
    2011年, 電子情報通信学会 ディペンダブルコンピューティング研究会, 沖縄県

その他研究情報

受賞

  • 2020年, 鬼頭信貴, 単独, 電子情報通信学会, ELEX編集活動感謝状
  • 2020年, 鬼頭信貴, 単独, 電子情報通信学会, ELEX編集貢献賞

外部資金

  • 日本学術振興会
    科学研究費
    公的研究費, 代表, 基盤研究(C), 超伝導単一磁束量子回路向け新機軸算術演算回路とその設計自動化の探求, 2022
  • 日本学術振興会
    科学研究費(基盤研究(C))
    公的研究費, 代表, 基盤研究(C), 超伝導ディジタル回路デバイスのためのパルス論理を活用した論理設計手法の探求, 2019
  • 日本学術振興会
    科学研究費(若手研究(B))
    超伝導ディジタル回路デバイスのための配線遅延を考慮した自動配置配線手法の確立, 2016
  • 日本学術振興会
    科学研究費(若手研究(B))
    オンライン誤り検出可能・セルフテスト容易な高信頼算術演算回路に関する研究, 2013

その他

  • 15th International Superconductive Electronics Conference (ISEC 2015) Local Steering Committee member, 2015年6月
  • 電子情報通信学会 Electronics Express編集委員会 編集委員, 2017年6月~2020年

社会貢献活動情報

社会貢献活動

社会貢献活動

  • 26th IEEE Symposium on Computer Arithmetic (ARITH26) Web Chair
  • 電子情報通信学会 Electronics Express編集委員会 編集委員
  • 15th International Superconductive Electronics Conference (ISEC 2015) Local Steering Committee member


Copyright © MEDIA FUSION Co.,Ltd. All rights reserved.